TSMC Annual Report >  2015 > Corporate Social Responsibility > Environmental, Safety and Health (ESH) Management

Environmental, Safety and Health (ESH) Management

TSMC believes its environmental, safety and health practices must not only comply with legal requirements, but also measure up to, or exceed, recognized international practices. TSMC’s ESH policy aims to reach the goals of “zero incident” and “sustainable development,” and to make TSMC a world-class company in environmental, safety and health management. The Company’s strategies for reaching these goals are to comply with regulations, promote safety and health, strengthen recycling and pollution prevention, manage ESH risks, instill an ESH culture, establish a green supply chain, and fulfill its related corporate social responsibilities.

All TSMC manufacturing facilities have received ISO 14001: 2004 certification for environmental management systems and OHSAS 18001: 2007 certification for occupational safety and health management systems. All fabs in Taiwan have also been TOSHMS (Taiwan Occupational Safety and Health Management System) certified since 2009.

TSMC strives for continuous improvement and actively seeks to enhance climate change management, pollution prevention and control, power and resource conservation, waste reduction and recycling, safety and health management, fire and explosion prevention and minimize the impact of earthquake damage, in order to reduce the overall environmental, safety and health risk.

In 2006, in order to meet regulatory and customer needs for the management of hazardous materials, TSMC began to adopt the IECQ QC 080000 Hazardous Substance Process Management (HSPM) System. All TSMC manufacturing facilities have been QC 080000 certified since 2007. By practicing QC 080000, TSMC ensures that its products comply with regulatory and customer requirements, including the “European Union’s Restriction of Hazardous Substances (RoHS) Directive,“ “EU Registration, Evaluation, Authorization and Restriction of Chemicals (REACH),“ the “Montreal Protocol on Substances that Deplete the Ozone Layer,“ [the halogen free in electronic products initiative], and Perfluorooctane Sulfonates (PFOS) restriction standards.

Since 2011, TSMC has adopted ISO 50001 Energy Management System for the continuous improvement of energy conservation. TSMC Fab 12 Phase 4 data center is Taiwan’s first facility to earn the ISO 50001 certification for a high-density computing data center. As of early 2016, TSMC has three fabs – Fab 12 Phase 4/5/6, Fab 14 Phase 3/4 and Fab 15 – that earned the ISO 50001 certifications. Other TSMC fabs also implement energy management measures consistent with ISO 50001.

TSMC regularly communicates with suppliers and contractors regarding environmental, safety and health issues and encourages them to improve their ESH performance. In line with this policy, TSMC uses priority work management and self-management to govern work performed by contractors. TSMC requires contractors performing level-one high-risk operations to complete certification for technicians and to establish their own OHSAS 18001 safety and health management system. This self-management is aimed at increasing the sense of responsibility of TSMC’s contractors, with the goal of promoting safety awareness and technical improvement for all contractors in the industry.

TSMC collaborates with suppliers to improve the sustainability of the Company’s supply chain regarding ESH-related issues, such as environmental protection, safety and hygiene code compliance, daily management, fire protection, and conflict mineral management. TSMC not only performs ESH audits at its suppliers’ manufacturing sites but also proactively assists them with improving ESH performance.

Besides the requirement of ESH code compliance, energy/water saving and carbon management of TSMC’s supply chain are essential to the Company’s green supply chain ideals. Since 2009, TSMC has required suppliers to establish carbon inventory procedures. Since 2010, TSMC has collaborated with selected suppliers to establish product carbon footprints and has received PAS2050 certifications for 6-inch, 8-inch and 12-inch finished wafers. In 2015, TSMC calculated its carbon footprint and water footprint, which were certified by the third party for ISO 14067 and ISO 14046, respectively. We not only provide such information to customers but also continue to promote carbon reduction and water conservation at TSMC and in the supply chain from a life cycle point of view.

TSMC also monitors potential climate change related risks in the supply chain, investigates the supply chain’s carbon emission, electricity usage and water usage, and encourages suppliers to conduct energy saving, carbon reduction, water conservation and waste reduction measures. The ESH management programs of TSMC suppliers are tied to a sustainability index that includes three components: the Green Index, the Social Index and the Risk Index. The Green Index includes environmental management systems, regulatory compliance, hazardous substance management, conflict mineral investigation, greenhouse gas inventory and other green activities. The Social Index includes labor and ethical conduct. Both of the Green and Social indices are consistent with the Electronic Industry Citizenship Coalition (EICC) Code of Conduct. The Risk Index includes safety and health management, fire prevention, natural disaster mitigation, IT interruption recovery, transportation reliability, supply chain management and business continuity plan. This sustainability index is applied to TSMC’s critical suppliers.

Environmental Protection

Greenhouse Gas (GHG) Emission Reduction

TSMC is an active participant in international environmental regulatory and protection programs. TSMC achieved its voluntary PFC emissions reduction goal as per its commitment to the World Semiconductor Council (WSC) and the Taiwan Environmental Protection Administration (EPA) in 2010.

The “Greenhouse Reduction and Management Act” established by Environmental Protection Administration Executive Yuan, R.O.C. (Taiwan) have been in effect since July 1, 2015. The related sub-regulations will be established and announced soon, and TSMC is preparing to take action. In 2005, TSMC was the semiconductor leading company to complete the GHG inventory program and make a complete inventory of its GHG emissions and to gain ISO 14064 certification. The purpose of the inventory is to serve as a baseline reference for TSMC’s strategy to reduce GHG emissions, to meet domestic regulatory requirements, and to prepare for carbon trading and corporate carbon asset management. All TSMC facilities conduct an annual GHG inventory. The inventory shows that the major direct GHG emissions are perfluorinated compounds (PFCs), which are used in the semiconductor manufacturing process. The primary indirect GHG emission is electricity consumption.

The Company has taken measures to reduce its emission of GHGs. TSMC endorsed a memorandum of understanding to the Taiwan Semiconductor Industry Association, the Taiwan EPA, and the WSC, set up the corporate PFC emission reduction policy and action plans proactively whereby the Company committed to reducing PFC emissions to 10% below the average of 1997 and 1999 by 2010, a commitment that it was proud to achieve. This emissions target remains fixed as TSMC continues to grow and expand its manufacturing facilities.

TSMC is active in the WSC’s activities to set up a global voluntary PFC emissions reduction goal for the next ten years, and has incorporated past experience to develop best practices. The implementation of best practices has been adopted by the WSC as a major element of the 2020 goal. In 2013, in accordance with the “EPA Early Actions for Carbon Credit of Greenhouse Gases Reduction” regulation, TSMC applied for the recognition of greenhouse reduction from 2005 to 2011 that committed to the WSC and EPA, and received 5.28 million tons carbon dioxide credits in 2015. Those carbon credits can be used to offset greenhouse gas emissions of new manufacturing facilities regulated by Environmental Impact Assessment (EIA) Act. It will mitigate climate change risk to support the Company’s sustainable operation.

Coal-fired power generators, the major source of electricity in Taiwan, emit large amounts of carbon dioxide (CO2). TSMC has not only adopted energy-conserving designs for both its manufacturing fabs and offices, but has also continuously improved the energy efficiency of facilities during operation. These efforts simultaneously reduce both carbon dioxide gas emissions and costs.

In 2015, TSMC purchased 100 million kilowatt hours (kWh) of green power, actively participating in the R.O.C. Ministry of Economic Affairs’ voluntary “Green Power Purchasing Program”. TSMC’s commitment made up nearly 13% of Taiwan Power Company’s total green power available for purchase under the program in 2015. Since green power generated with zero carbon emissions, the purchase of 100 million kWh of green power will reduce 52 million kilograms CO2 emission, equivalent to the carbon absorbed by 5.2 million trees in one year. TSMC hopes that by taking action to support Taiwan’s renewable energy development, it can continue to pursue sustainability, support a low-carbon environment, and reduce the impact of global warming.

Air and Water Pollution Control

The Company has installed effective air and water pollution control equipment in each wafer fab to meet regulatory emissions standards. In addition, TSMC maintains backup pollution control systems, including emergency power supplies, to lower the risk of pollutant emission in the event of equipment breakdown. TSMC centrally monitors the operations of air and water pollution control equipment around the clock and treats system effectiveness as an important tracking item to ensure the quality of emitted air and discharged water.

To make the most effective use of Taiwan’s limited water resources, all TSMC fabs make an effort to increase water reclamation rates by adjusting the water usage of manufacturing equipment and improving wastewater reclamation systems. All fabs are meeting or exceeding the process water reclamation rate standard of the Science Park Administration. New fabs are able to reclaim more than 85% of process water, and outperforming most semiconductor fabs around the world. TSMC also strives to reduce non-manufactur-ing-related water consumption, including water used in air conditioning systems, sanitary facilities, cleaning, landscaping and kitchens. TSMC uses an intranet website to collect and measure water recycling volumes company-wide.

Since water resources are inherently local, TSMC shares its water saving experiences with other semiconductor companies through the Association of Science-Based Industrial Park to promote water conservation in order to achieve the Science Park’s goals and ensure a long-term balance of supply and demand.

Waste Management and Recycling

The Company has a designated unit responsible for waste recycling and disposal. To meet the goal of sustainable resource utilization, TSMC’s priorities are: 1) reduce process waste, 2) increase on-site reuse, and 3) increase off-site recycling; the last choice is treatment or disposal. To achieve raw material reduction, resource recycling and the goal of zero waste, TSMC built an in-house waste sulfuric acid pre-treatment system in 2015 for electronic grade sulfuric acid can be used as waste water treatment agents after wafer fabrication process. In order to track the waste flow, TSMC carefully selects waste disposal and recycling contractors and performs annual audits of certification documents and site operations. TSMC also adopts proactive actions to strengthen vendor auditing effectiveness. For example, all waste transportation contractors are requested to join the “GPS Satellite Fleet” so that all the cleanup transportation routes and abnormal stays for all trucks can be traced, a program that all contractors joined in 2015. In addition, all waste recycling and treatment vendors have installed CCTV at operating sites to review and audit the waste handling. Meanwhile, TSMC also conducts an ongoing survey of recycling product tracking. Such actions were taken to ensure lawful and proper waste recycling and treatment. TSMC achieved a 95% waste recycling rate in 2015, while our landfill rate was below 1% for the sixth consecutive year.

Environmental Accounting

The purpose of TSMC’s environmental accounting system is to identify and calculate environmental costs for internal management. At the same time, the Company can also evaluate the savings or economic benefits of environmental protection programs so as to promote cost-effective programs. While environmental expenses are expected to continue growing, environmental accounting can help TSMC manage these costs more effectively. TSMC’s environmental accounting measures various environmental costs, establishes independent environmental account codes, and provides these to all units for use in annual budgeting. The Company’s economic benefit evaluation calculates cost savings for reduction of energy, water or waste and benefits from waste recycling in accordance with our environmental protection programs.

The environmental benefits disclosed in this report include real income from projects such as waste recycling and savings from major environmental projects. In 2015, 367 environmental projects of TSMC fabs were completed and the total benefits, including waste recycling, were more than NT$1,053 million.

2015 Environmental Cost of TSMC Fabs in Taiwan

Unit: NT$ thousands

Classification

Description

Investment

Expense

1. Direct Costs for Reducing Environmental Impact

(1) Pollution Control Cost

Fees for air pollution control, water pollution control, and others

4,904,897

3,232,928

(2) Resource Conservation Cost

Costs for resource (e.g. water) conservation

1,557,383

-

(3) Waste Disposal and Recycling

Costs for waste treatment (including recycling, incineration and landfill)

-

1,048,089

2. Indirect Cost for Reducing Environmental
Impact (Environmental Managerial Cost)

(1) Cost of training (2) Environmental management system and
certification expenditures (3) Environmental impact measurement
and monitoring fees (4) Environmental protection product costs
(5) Environmental protection organization fees

117,717

220,401

3. Other Environmental Costs

(1) Costs for decontamination and remediation (2) Environmental
damage insurance fees and environmental taxes and expenses
(3) Costs related to environmental settlement, compensations,
penalties and lawsuits

-

-

Total

6,579,997

4,501,418

2015 Environmental Efficiency of TSMC Fabs in Taiwan

Unit: NT$ thousands

Category

Description

Efficiency

1. Cost Savings of Environmental Protection Projects

Energy savings: completed 152 projects

402,519

Water savings: completed 42 projects

105,979

Waste reduction: completed 173 projects

148,700

2. Real Income from Industrial Waste Recycling

Recycling of used chemicals, wafers, targets,
batteries, lamps, packaging materials, paper cardboard,
metals, plastics and other waste

396,209

Total

1,053,407

Other Environmental Protection Programs

TSMC conducts “Product Life Cycle Assessments” (Product LCA), collecting and analyzing data across the entire semiconductor manufacturing chain from raw material suppliers to finished products, including statistics for such items as energy, raw material consumption, and pollution. The Product LCA study has established “Eco-Profiles” for all TSMC fabs and helps the Company to meet international regulations, such as the European Union’s “Energy-Using Product” directive. These “Eco-Profiles” can also be provided to customers who require such documentation. In 2015, TSMC calculated product average carbon footprint and water footprint of all its fabs in Taiwan, which were certified by the third party for ISO 14067 and ISO 14046, respectively. We not only provide such information to customers but also continue to promote carbon reduction and water conservation at TSMC and in the supply chain from a life cycle point of view.

TSMC also follows “green procurement” procedures that require raw material suppliers to declare that the materials they supply do not contain any environmental harmful prohibited substances. This ensures that products manufactured by TSMC comply with customer requirements and the regulatory requirements of the European Union’s RoHS Directive. TSMC also encourages employees to use “Green Mark” products in offices, such as recycled paper, desktop PCs, LCD monitors, and batteries.

Since 2006 TSMC has adopted standards from both the Taiwan “Green Building” and the green building evaluation of the U.S. Green Building Council - Leadership in Energy and Environmental Design (LEED) for new fab and office building designs to achieve better energy and resource efficiency than conventional designs. At the same time, TSMC continues to upgrade existing office buildings to comply with the LEED standard each year. From 2008 to 2015, 18 of TSMC’s fabs and office buildings achieved LEED certifications: 2 Platinum and 16 Gold class. Meanwhile, TSMC also received 3 Taiwan’s Diamond class Intelligent Building and 10 Taiwan’s EEWH (Ecology, Energy Saving, Waste Reduction and Health) Diamond class certification.

TSMC believes that all manufacturing companies should convert their facilities into green factories to improve the environment and lower construction costs. Therefore, the Company freely shares its practical experience with industry, government, and academia. As of the end of 2015, more than 8,730 visitors from 230 different industry, government, academic, and general community groups contacted TSMC to gain understanding on the Company’s green factory practices. TSMC led the industry to support the Taiwan government in establishing the “Green Factory Labeling System” since 2009, a system that included “Clean Production Evaluation” and “Green Factory Evaluation.” TSMC received Taiwan’s first “Green Factory Label” and six labels in total for Fab 12 Phase 4, Fab 14 Phase 3 and Phase 4, Fab 12 Phase 5 and Phase 6, and Fab 15 Phase 1 and Phase 2.

Environmental Compliance Record

In 2015 and as of the date of this Annual Report, TSMC had not received any environmental penalties or fines.

Green Products

TSMC collaborates with its upstream material and equipment suppliers, design ecosystem partners and downstream assembly and testing service providers to minimize environmental impact. We reduce the resources and energy consumed for each unit of production and are able to provide more advanced, power efficient and ecologically sound products, such as lower-power-consumption chips for mobile devices, high-efficiency LED drivers chips for Flat Panel Display Backlighting and indoor/outdoor Solid State LED lighting, and “Energy Star” certified low standby AC-DC adaptors chips, etc. By leveraging TSMC’s superior energy-efficient technologies, these chips are used for supporting sustainable city infrastructure, greener vehicles, smart girds, and so on. In addition to helping customers design low-power, high-performance products to reduce resource consumption over the product’s life cycle, TSMC implements clean manufacturing practices that provide additional “Green Value” to customers and other stakeholders.

TSMC-manufactured ICs are used in a broad variety of applications covering various segments of the computer, communications, consumer, industrial and other electronics markets. Through TSMC’s manufacturing technologies, customers’ designs are realized and their products are incorporated into people’s lives. These chips, therefore, make significant contributions to the progress of modern society. TSMC works hard to achieve profitable growth while providing products that add environmental and social value. Listed below are several examples of how TSMC-manufactured products significantly contribute to the environment and society.

Environmental Contribution by TSMC Foundry Services

1. Continue to Drive Technology to Lower Power Consumption and Save Resources

  • TSMC continues to drive the development of advanced semiconductor process technologies to support customer designs that result in the most advanced, energy-saving, and environmentally friendly products to support sustainability. In each new technology generation, circuitry line widths shrink, making transistors smaller and reducing product power consumption. TSMC’s 28nm technology, for example, can accommodate approximately four times the number of electronic components as 55nm technology. ICs made with 28nm technology in active or standby mode consume roughly one-third the power of 55nm products, according to TSMC’s internal test results.
  • TSMC has led the dedicated foundry segment in offering 28nm process technology and shipped over 4.5 million wafers to customers in total from 2011 to 2015. TSMC provides 28nm High Performance (28HP), 28nm High Performance Low Power (28HPL), 28nm Low Power (28LP), 28nm High Performance Mobile Computing (28HPM), 28nm High Performance Compact (28HPC) for mobile computing and 28nm High Performance Compact Plus (28HPC+) for mobile computing to meet customers’ various needs for more advanced, energy-saving, and environmentally friendly products.
  • TSMC continues to deliver Performance-per-Watt scaling in its 20nm SoC (20SoC), 16nm FinFET Plus (16FF+), and 10nm FinFET process technologies. With energy-efficient transistors and interconnects, the 20nm SoC process can reduce total power consumption of the 28nm process by a third. By migrating from planar to FinFET technology, the 16FF+ process can further reduce total power consumption to about 30% of 28nm technology. TSMC’s 10nm technology continues FinFET evolution for even better performance and lower power; total power consumption is only 20% of 28nm technology. TSMC’s 16FF+ started volume production in mid-2015, only one year after the Company introduced 20nm technology. In addition, 10nm FinFET technology began customer product tape-out in the first quarter of 2016.
  • TSMC quickly ramped its 28nm and below technologies. Wafer revenue contribution from 28nm and below technologies grew significantly from 1% in 2011 to 48% in 2015. TSMC’s objective is to continue our R&D efforts in 28nm and below technologies and to increase the wafer revenue contribution from 28nm and below technologies, helping the Company achieve both profitable growth and energy savings.

    28nm-and-below Contribution to Total Wafer Revenue (Unit: %)

    2011

    2012

    2013

    2014

    2015

    1

    12

    30

    42

    48

    left chart: Chip Die Size Cross-Technology Comparison, right chart: Chip Total Power Consumption Cross-Technology Comparison (image)

2. Provide Leading Power Management IC Process with the Highest Efficiency

  • TSMC’s leading manufacturing technology helps customers design and manufacture green products. Power management ICs are the most notable green IC products. Power management ICs are the key components that regulate and supply power to all IC components. TSMC’s analog power technology R&D team uses 6-inch, 8-inch and 12-inch wafer fabs to develop Bipolar-CMOS-DMOS (BCD) and Ultra-High Voltage (UHV) technology, producing industry-leading power management chips with more stable and efficient power supplies and lower energy consumption for broad-based applications in consumer, communication, and computer markets. TSMC’s BCD is the best fit technology for high-efficiency LED drivers for Flat Panel Display Backlighting and indoor/outdoor Solid State LED lighting. In addition, TSMC’s UHV with 400V~800V options is the best fit technology for green product applications, such as “Energy Star” low standby AC-DC adaptors, Solid State LED lighting, and high-efficiency DC brushless motors.
  • TSMC also provides analog and power-friendly design platforms. Customers use these platforms to develop energy-saving products.
  • Power management ICs generate material revenue to TSMC’s industrial market segment. In 2015, TSMC’s HV/Power technologies collectively shipped more than 2 million wafers to customers. In total, power management ICs manufactured by TSMC accounted for more than one-third of global computer, communication and consumer systems. HV/Power Technologies Shipments (Unit: 8-inch equivalent wafer)

    HV/Power Technologies Shipments (Unit: 8-inch equivalent wafer)

    2011

    2012

    2013

    2014

    2015

    >800K

    >1,000K

    >1,300K

    >1,800K

    >2,000K

3. Green Manufacturing that Lowers Energy Consumption

TSMC continues to develop technologies for more advanced and efficient manufacturing services that reduce energy/resource consumption and pollution per unit as well as power consumption and pollution during product use. In each new technology generation, circuitry line widths shrink, making circuits smaller and lowering the energy and raw materials consumed for per unit manufacturing. In addition, the Company continuously provides process simplification and new design methodology based upon its manufacturing excellence to help customers reduce design and process waste to produce more advanced, energy-saving and environmentally-friendly products. To see the total energy savings and benefits realized in 2015 through TSMC’s green manufacturing, please refer to “Environmental Accounting”.

Social Contribution by TSMC Foundry Services

1. Unleash Customers’ Mobile and Wireless Chip Innovations that Enhance Mobility and Convenience

  • The rapid growth of smartphones and tablets in recent years reflects strong demand for mobile devices. Mobile devices offer remarkable convenience, and TSMC contributes significant value to these devices, including: (1) new process technology helps chips achieve faster computing speeds in a smaller die area, leading to smaller form factors for these electronic devices. In addition, SoC technology integrates more functions into one chip, reducing the total number of chips in electronic devices and resulting in a smaller system form factor; (2) new process technology also helps chips consume less energy. People can therefore use mobile devices for a longer period of time; and (3) with more convenient wireless connectivity such as 3G/4G and WLAN/Bluetooth, people communicate more efficiently and can “work anytime and anywhere,” significantly improving the mobility of modern society.
  • Mobile computing related products, such as Baseband, RF Transceiver, Application Processors (AP), Wireless Local Area network (WLAN), imaging sensors, and Near Field Communication (NFC), Bluetooth, Global Positioning System (GPS) among others, represent 51% of TSMC wafer revenue in 2015. TSMC’s growth in recent years has largely been driven by the growing global demand for these mobile IC products.

    Contribution of Mobile Computing Related Products to TSMC Wafer Revenue (Unit: %)

    2011

    2012

    2013

    2014

    2015

    36

    40

    44

    48

    51

    Note:

    Mobile computing related products were re-classified in 2014.

2. Unleash Customers’ MEMS (Micro Electro Mechanical Systems) Innovations that Enhance Human Health and Safety

  • In addition to smart-phones, tablets, and many other consumer electronic devices, TSMC-manufactured MEMS chips are widely used in medical treatment and health care applications. By leveraging the Company’s advanced technologies, more and more chips for these applications are introduced to the market, providing major contributions to modern medicine. Customers’ MEMS products are used in a number of advanced medical treatments as well as in preventative health care applications. Examples include early warning systems to minimize the injury from falls for the elderly, systems to detect physiological changes, car safety systems and other applications that greatly enhance human health and safety.

Safety and Health

Safety and Health Management

TSMC’s safety and health management is built on the framework of the OHSAS 18001 system and adheres to the management principle of “Plan, Do, Check, Act” to prevent accidents and protect employee safety and health as well as Company assets. All TSMC fabs in Taiwan have also received Taiwan Occupational Safety and Health Management System (TOSHMS) certification.

Besides accident prevention, TSMC has established emergency response procedures to protect employees and contractors if a disaster should occur, as well as to prevent and to minimize the negative impact on society and the environment. TSMC continually communicates with its suppliers to ensure that potential risk in the operation of production equipment is minimized, and rigorously follows safety control procedures when installing production equipment. The Company places stringent controls on high-risk operations and also evaluates the seismic tolerance of its facilities and equipment to reduce the risk of earthquake damage.

For epidemics, TSMC has established company-level prevention committees and procedures for emergency response to outbreaks of infectious diseases.

Working Environment and Employee Safety and Health Protection

TSMC’s ESH policy is focused on establishing a safe working environment, preventing occupational injury and illness, keeping employees healthy, enhancing every employee’s awareness and sense of accountability to ESH, and building an ESH culture. TSMC safety and health management operations apply to:

● Equipment Safety and Health Managementt

In addition to meeting regulatory requirements and internal standards, as well as mitigating ESH-related risks when building or rebuilding facilities, TSMC also maintains procedures governing new equipment and raw materials, safety approvals for bringing new tools online, updating safety rules, seismic protection measures, and other safety measures.

TSMC requires that all new tools meet SEMI-S8 requirements and that appropriate supplementary control measures be taken to reduce ergonomic risk. Moreover, TSMC endeavors to automate 300mm front-opening unified pod (FOUP) transportation to prevent accumulative damage caused by long-term manual handling of 300mm FOUPs. TSMC 300mm fabs have completed automatic transportation control.

● Environmental, Safety and Health Evaluation of New Tools and New Chemical Substances

TSMC, as a technology leader in the worldwide semiconductor industry, operates many diversified process tools and new chemicals in the R&D stage. Before using those new tools and new chemicals, they are reviewed carefully by the “New Tools and New Chemical Review Committee”. The purpose is to ensure that new tools are compliant with the semiconductor chemicals’ environmental, safety and health concerns can be well controlled, including engineering controls, application of personal protection equipment, and operational safety training during storage, transportation, usage, and disposal.

● General Safety Management, Training and Audit

All TSMC manufacturing facilities hold environmental, safety and health committee meetings on a monthly basis. TSMC takes preventive measures such as controls on high-risk work, contractor management, chemical safety management, personal protective equipment requirements, and safety audit management. In addition, TSMC maintains detailed disaster response procedures and performs regular drills designed to minimize harm to employees and property, as well as the impact on society and the environment in the event of a disaster.

● Working Environment Hazardous Factors Management

TSMC conducts workplace hazard assessment and interventions to provide a comfortable and safe workplace to employees. TSMC also requires employees to use personal protective equipment (PPE) to prevent hazardous exposures.

TSMC performs semi-annual workplace environment assessments of physical and chemical hazards, including CO2 concentration, illumination, noise, and hazardous chemical substances regulated by domestic laws. The Company performs exposure assessment and takes hierarchy management for chemicals with health hazard from 2015 on. If abnormal measurements or events happen or the result of exposure assessment indicates there is adverse health effect for employees, site ESH professionals conduct onsite observation and interventions to reduce exposure to acceptable levels.

● Emergency Response

The planning and execution of an effective emergency response should adapt high risk events from risk assessment as selective scenarios, the scope should cover severe events to have continuous improvement and practice drills. TSMC’s emergency response plans include procedures for rapid response crisis management and disaster recovery to potential incidents.

All TSMC fabs conduct major annual emergency response exercises and evacuation drills. TSMC’s -Tainan site fabs continue their spot drills, which have been recognized as good practices. TSMC’s on-site service contractors also participate in emergency response planning and exercises to ensure cooperation in handling accidents and to effectively minimize any damage caused by disasters. At least every two years, fab directors invite fab management and support functions and run crisis management drills for the potentially high-risk events such as earthquake, fire, and flood (Tainan site).

In addition to the regular emergency response drills held by engineering and facilities departments each quarter, the Company’s laboratory, canteen, dormitory, and shuttle bus personnel also hold emergency response drills to prepare for events such as earthquakes, chemical leakage, ammonia release, fires and automobile accidents.

● Emerging Infectious Disease Response

TSMC has a dedicated corporate ESH organization to monitor emerging infectious diseases around the world, to assess any potential impact on the workplace, and to provide an appropriate strategic response plan. In previous outbreaks (such as SARS in 2003 and the H1N1 influenza outbreak in 2009), TSMC convened the Corporate Influenza Response Committee to develop the Company’s strategies. These strategies include educating employees in prevention and response, publishing guidelines for managers, establishing guidelines for employee sick leave due to flu, and installing alcohol-based hand sanitizers at appropriate locations. The Committee also monitors the status of employee leave due to illness and, at the same time, develops a continuous plan to address manpower shortages as well as minimize business impact.

TSMC believes that employees’ physical and mental health is not only fundamental to maintaining normal business operations but also part of a corporation’s responsibility.

● Employee Physical and Mental Health Enhancement

To protect and promote employee physical and mental health, TSMC strives to eliminate cardiovascular disease that might be induced by overwork, night work or shift work; to prevent workplace violence, and to reduce ergonomic hazards. The Company seeks to promote mental health by collaborating with site ESH, site medical personnel, and physicians of occupational medicine. At the beginning of 2015, 48 employees with high risk for cardiovascular diseases, which is better than the average of general population, were screened out and, through planned personal health management, their risk profiles were reduced to moderate and low for 7 (15%) and 29 (60%) respectively. Regarding ergonomic hazard prevention, 61 employees with musculoskeletal disorders were identified with on-site operation visit, of which 9 have been either addressed with long-time follow-up or reassigned to another work. In order to promote employee mental health, in 2015, TSMC held 22 metal health symposiums with a total employee participation of 2,209, and the satisfaction rate was over 85%. TSMC also institutes hazard assessments, controls, lectured by a doctor, hierarchy management measures, and work adjustment or reassignment for work which is potentially hazardous to maternal health. In total, 1,078 pregnant employees were evaluated, of which two were reassigned to another work.

Health promotion activities for employees include fitness programs, women’s health care programs, mother’s rooms, body weight control programs, sleep problem management, massage and chiropractic services, hepatitis and flu vaccinations, and health lectures. TSMC believes employees who are physically and mentally healthy can enjoy a better quality of life and be more productive.

● Contractor Self Evaluation and Management of Health

To mitigate safety risks resulting from the sudden onset of illness, TSMC launched the Contractor Self Evaluation and Management of Health Program at Fab12B in 2014. Contractors performing high-risk work, such as work at heights and at cleanroom ceilings, are required to check the health status in advance for those workers undertaking such high-risk tasks. Those determined to have chronic illness and self-reported symptoms must visit a doctor for physical evaluation and treatment to reduce health and safety risks in workplace.

Supplier and Contractor Management

● Supplier Management

As a means of enhancing its supply chain management, TSMC is committed to communicating with and encouraging its contractors and suppliers to improve their quality, cost effectiveness, delivery performance and sustainability on environmental protection, safety and health. Through regular communication with senior managers, site audits and experience sharing, TSMC collaborates with major suppliers and contractors to enhance partnership and ensure continual improvement for better performance and increased joint contributions to society. As noted above, contractors performing high-risk activities must lay out clearly defined safety precautions and preventative measures. In addition, contractors working on high-risk engineering projects must establish OHSAS 18001 systems and the workers must successfully complete work skill training.

● Supply Chain Sustainability

TSMC works with its suppliers in several fields of sustainable development, such as greening the supply chain, carbon management for climate change, mitigation of fire risk, ESH management and business continuity plans for natural disasters.

Since becoming a full member of the Electronic Industry Citizenship Coalition (EICC) in 2015, TSMC has completed the adoption of the EICC Code of Conduct across the Company by performing self-assessments at its facilities worldwide and reviewing policies and procedures in the areas of labor, health and safety, environment, ethics, and management system.

To enhance supply chain sustainability and streamline the supply chain’s risk management, TSMC is committed to collaborating with its suppliers to maintain full compliance with the Taiwan environmental, safety, health and fire regulations, and to establish the necessary management capability as well as continuous enhancement.

TSMC is subject to the U.S. Securities & Exchange Commission (SEC) disclosure rule on conflict minerals released under Rule 13p-1 of the U.S. Securities Exchange Act of 1934. As a recognized global leader in the high-tech supply-chain, the Company acknowledges its corporate social responsibility to strive to procure conflict free minerals in an effort to recognize humanitarian and ethical social principles that protect the dignity of all people. To this end, TSMC has implemented a series of compliance safeguards in accordance with leading industry practices such as adopting the due diligence framework in the OECD’s Model Supply Chain Policy for a Responsible Global Supply Chain of Minerals from Conflict-Affected and High Risk Areas issued in 2011.

TSMC is one of the strongest supporters of the Electronic Industry Citizenship Coalition and the Global e-Sustainability Initiative (GeSI), and this will help our suppliers source conflict-free minerals through their jointly developed Conflict-Free Smelter Program (CFSP). TSMC has asked its suppliers to disclose and timely update information on smelters and mines since 2011. TSMC also encourage its suppliers to source minerals from facilities or smelters that have received a “conflict-free” designation by a recognized industry group (such as the EICC). TSMC also requires those who haven’t received such designation to become compliant with CFSP or an equivalent third-party audit program. TSMC requires the use of tantalum, tin, tungsten and gold in its products that are conflict-free. TSMC will continue to renew our supplier survey annually and require our suppliers to improve and expand their disclosure to fulfill regulatory and customer requirements. For further information, please see our Form SD filed with the U.S. SEC. (http://www.tsmc.com/english/investorRelations/sec_filings.htm)