Annual Reports  >  2013  >  Letter to Shareholders
Letter to Shareholders

Dear Shareholders,

In 2013, TSMC enjoyed another year of record revenue and profit as we continued to harvest the benefits of a shift in our strategy that began in 2009. Four years ago, we began to invest heavily in research and development as well as capital expenditure when we saw signs that the arrival of mobile computing devices such as smartphones and tablets could present promising opportunities to the semiconductor industry. Today, mobile products are indeed driving a new wave of growth and the most successful ICs in mobile computing come from TSMC customers, enabled by our process technologies and capacity buildup. TSMC’s investments in R&D helped our customers to realize their design innovations, and TSMC’s capacity buildup paved the way for our customers to maximize their market opportunities. We are now better positioned than any company engaging in the IC foundry business to help IC designers benefit from the worldwide growth in demand for mobile products.

Rapid adoption of TSMC’s 28-nanometer process by IC designers seeking superior performance, lower power consumption, and smaller die size for their mobile products drove a nearly threefold increase in shipments and revenue for our 28-nanometer wafers in 2013. Thanks to our differentiated technologies and manufacturing excellence, we enjoyed a segment share of more than 80 percent in the served-available market for 28-nanometer technologies. Other achievements in 2013 include:

  • Total wafer shipments reached 15.67 million 8-inch equivalent wafers versus 14.04 million in 2012.
  • Advanced technologies (40/45-nanometer and beyond) accounted for 50 percent of total wafer revenue.
  • TSMC’s market share in the total semiconductor foundry segment rose successively during the last four years and reached 49 percent.

2013 Financial Performance

Consolidated revenue totaled NT$597.02 billion, an increase of 17.8 percent over NT$506.75 billion in 2012. Net income was NT$188.15 billion and diluted earnings per share were NT$7.26. Both increased 13.1 percent from the 2012 level of NT$166.32 billion net income and NT$6.41 diluted EPS.

In US dollars, TSMC generated net income of US$6.34 billion on consolidated revenue of US$20.11 billion, compared with net income of US$5.62 billion on consolidated revenue of US$17.12 billion for 2012.

Gross profit margin was 47.1 percent compared with 48.2 percent in 2012, and operating profit margin was 35.1 percent compared with 35.8 percent a year earlier. Net profit margin was 31.5 percent, a decrease of 1.3 percentage points from the previous year’s 32.8 percent.

Technological Developments

Following the ongoing success of our 28-nanometer technology, our 20-nanometer System-on-Chip (20-SoC) has entered volume production in 2014 after we began accepting customers’product tape-outs in 2013. TSMC’s 20-SoC technology possesses the highest gate density of any 20-/22-nanometer process in volume production, and we have received an enthusiastic response from customers with dozens of product tape-outs scheduled in 2014. We expect our 20-nanometer production ramp to be faster than our 28-nanometer, becoming a significant growth driver for TSMC in both 2014 and 2015.

Next in the pipeline is our 16-nanometer process, which features a FinFET transistor structure for better performance. TSMC’s 16-nanometer (16-FinFET) entered risk production in November 2013 and is firmly on track to complete manufacturing qualification in early 2014 and to meet our target of volume production in 2015, just one year after 20-nanometer. TSMC’s 16-nanometer technology has captured the vast portion of 16-/14-nanometer products in the semiconductor foundry segment. More than 20 product tape-outs already have been scheduled throughout 2014 from multiple customers across a wide range of applications. Meanwhile, we are developing an enhanced transistor version of this technology, 16-FinFET+, that will offer an additional 15% performance improvement and which we believe will be the highest performance technology among all available 16-/14-nanometer technologies in 2014.

In 2013, we also began the development work of our 10-nanometer technology, which is scheduled to enter risk production in 2015 and volume production in 2016. This will be our third generation of FinFET technology, following 16-FinFET and 16-FinFET+, and is expected to deliver the industry’s leading performance and density.

TSMC’s design ecosystem, the Open Innovation Platform® (OIP) continues to help our customers to rapidly adopt these advanced technologies and shorten their time-to-market. This ecosystem offers an increasingly important advantage to our customers as technologies grow more complex and the need for first-time silicon success and early time-to-market become more critical. In 2013, the libraries and silicon IP portfolio available on TSMC’s OIP were expanded to contain more than 6,300 items, representing the world’s largest IP portfolio of its kind. Over 60% of new tape-outs by our customers at TSMC adopted one or more libraries or IPs from this platform.

Corporate Developments

The Board of Directors appointed Dr. Mark Liu and Dr. C.C. Wei as President and Co-Chief Executive Officer of TSMC on November 12. Dr. Liu and Dr. Wei joined TSMC in 1993 and 1998 respectively, and have served TSMC in managerial positions including Operations, R&D, Worldwide Sales and Marketing, and Business Development. They have also demonstrated seamless teamwork in the best traditions of TSMC’s corporate culture.

Dr. S.Y. Chiang, formerly Executive Vice President and Co-Chief Operating Officer, retired in October 2013 after 16 years of distinguished service to the Company. Dr. Chiang continues to serve the Company as Adviser to the Chairman of the Board.

I will continue to dedicate my full time and effort to the Company as Chairman of the Board and maintain the ultimate responsibility for the Company.

img

Honors and Awards

In 2013, TSMC was honored for our achievements in sustainability, corporate governance, management, investor relations and innovation by organizations including Barron’s, FinanceAsia, Institutional Investor, IR Magazine, GlobalViews Magazine, CommonWealth Magazine, and Thomson Reuters.

The Dow Jones Sustainability Indexes (DJSI) recognized TSMC as the Semiconductors and Semiconductor Equipment Industry Group Leader in 2013, highlighting our leadership and continued progress in sustainability and corporate social responsibility. TSMC is the first Taiwan company, and one of only four Asian companies, to win the highest score among its industry peers in the DJSI’s 24 industry groups, made up of 59 industries. In addition, TSMC is one of just two semiconductor companies chosen as index components for 13 consecutive years, and was also named semiconductor industry leader in 2010 and 2012.

Outlook

While world semiconductor market is expected to grow at only 3-5% annually in the next five years, we expect to significantly out-grow the semiconductor market during that period as we have done in 25 of the last 27 years since our founding. We have become the basic technology and capacity supplier to the world semiconductor industry, particularly the strong-growth part of that industry. Our success has continued to contribute to the growth of the information technology industry.

We are well on our way to a very competitive 10-nanometer technology, and have started 7-nanometer development.

The future world of ubiquitous connectivity will require us to integrate our advanced logic technology with many specialty technologies.

We have therefore been working on imaging and MEMS (micro-electro-mechanical system) sensors, power management, radio-frequency, embedded-flash, advanced packaging, and ultra-low-power technologies. We have the experience and ability to integrate all these technologies together to provide SoC (system on chip) or SiP (system in package) solutions which will be key to our future success.

Moreover, as TSMC forges ahead in technology leadership, we play a central role of a Grand Alliance with key suppliers, customers, and our design ecosystem partners, forming the main open technology platform for the widest range of product innovations in the semiconductor industry today. Together with our Grand Alliance, we believe TSMC will continue to capture the opportunities presented by a world that values and rewards innovation.

張忠謀 董事長暨執行長